Home > Robert_Rau.html
Links >Links.html

Schematic/Design Capture

Visit my other pages:

Electronic Design ToolsElectronics.html
Instruments/OscilloscopesMac-Instruments.html
STM32/AVRMacEmbeddedLinks.html
MC33063MC34063_boost.html
SchematicsSchematics.html
email me.mailto:robertrau12@yahoo.com?subject=Schematic%20Capture%20Links

Schematic/Design Capture

DesignWorks Schematic Capture & Simulation Software

DesignWorks is only 32 bit and is not compatible with Mac OS 10.15 and later. Macintosh OS X and Windows. See my DesignWorks page here.

www.designworkssolutions.com

I have written some tools for FPGA symbol generation and passive component library generation, see them here.

I have several libraries here including embedded microcontrollers from, Atmel, Freescale, Infineon, NXP, Texas Instruments. Many more chips from Maxim, ON Semiconductor, Analog Devices. I also have a set of libraries for eight sizes of SMT resistors in both 1% and 5%.

I also have some sample schematics here.

Electric VLSI Design System

A full open source Java based Electronic Design Automation system including IC layout, Schematic capture, and VHDL.

http://www.staticfreesoft.com/

Eagle

Now includes Fusion 360 mechanical design software. Schematic, Layout, and autorouter. Old click on ‘command’ and then do command type of interface, no two finger scroll and pan on trackpad. Popular with the open source hardware community. A 64 bit version is available with version  7.3.0. Macintosh OSX, Linux, and Windows.

http://www.cadsoftusa.com/

Here is a tutorial about adding more part attributes to your parts:

https://macrofab.com/blog/how-to-use-part-attribute-eagle/

If you use Microfab for your board fab, here are their libraries.

More libraries here: https://github.com/PatternAgents/PCB_Libraries

A very nice design management tool on the Apple Mac App store called Copper.

Symbols are available from SupplyFrame:

https://componentsearchengine.com/?utm_source=newsletter&utm_medium=asp&utm_campaign=discovery

McCAD

Schematic capture, Simulation (analog & digital), Printed Circuit Board layout, Autorouting. Macintosh OS X, Linux, and Windows.

http://www.mccad.com/

LogicWorks

Little brother to DesignWorks. Limited to one page schematics (but a page can be large) and only specific reports. Macintosh OS X.

https://designworkssolutions.com/

LogicWorks Tutorial Video #1:http://www.youtube.com/watch?v=pUOc6e-Q1FE

LogicWorks Tutorial Video #2:http://www.youtube.com/watch?v=UYpYD7-6d5s

LogicWorks Tutorial Video #3:http://www.youtube.com/watch?v=jFkdmpOYHUg

Fritzing

Virtual breadboard, schematic capture, Printed Circuit Board layout with autorouting. Macintosh OS X, Linux, and Windows.

http://fritzing.org/

XCircuit

Schematic capture, Macintosh OS X, Linux, and Windows.

http://www.opencircuitdesign.com/xcircuit/index.html

Mac OS X support on MacPorts:

http://www.macports.org/ports.php?by=name&substr=xcircuit

Circuit Simulation LinksCircuitSimulationLinks.html

DIY Layout Creator

Schematic capture and proto board layout tool. Macintosh OS X, Linux, and Windows.

http://code.google.com/p/diy-layout-creator/

Additional Information:

http://diy-fever.com/sofware/diylc/

KiCad

Free. KiCad is an open source (GPL) software for the creation of electronic schematic diagrams and printed circuit board artwork. Popular with the Maker movement. Macintosh OS X, UNIX, Linux, and Windows.

http://kicad-pcb.org/

Macintosh OS X binaries:

http://kicad-pcb.org/download/osx/

If you use Microfab for your board fab, here are their libraries.

FreeCAD can import designs from KiCad:

https://kicad-pcb.org/external-tools/stepup/

Symbols are available from SupplyFrame:

https://componentsearchengine.com/?utm_source=newsletter&utm_medium=asp&utm_campaign=discovery

DipTrace

Schematic, PCB layout with autoplacer and autorouter, Spice output. Package modules allow you to exchange schematics, layouts and libraries with other EDA and CAD packages (DXF, Eagle, P-CAD, PADS, OrCAD). DipTrace allows you to export edge polylines to DXF. The DXF files can be converted to G-code for milling machine generated PCBs. Macintosh OS X, Linux (Wine), Windows.

http://www.diptrace.com/

If you use Microfab for your board fab, here are their libraries.

FidoCadJ

FidoCadJ is an easy to use graphical editor, with a library of electrical symbols and footprints (traditional and SMD). It aims to be an agile and effective small EDA tool for hobbyists.  Macintosh OS X, Linux, and Windows.

http://sourceforge.net/projects/fidocadj/

gEDA/gaf (gschem and friends)

geda schematic. Open source. Macintosh OS X, Linux, and Windows.

http://wiki.geda-project.org/start

Command line utility implementing setting up gschem, gnetlist, and gattrib:

http://wiki.geda-project.org/geda:gaf

A good tutorial is here (without using Fink), 35 steps and your done:

http://blog.csdn.net/lamboy/article/details/7248102

Here are some launchers for several of the geDA applications

http://www.msarnoff.org/geda-mac/

If you are new to building your own tools, here are some tips on using Terminal, Shells, and paths.

PHDL

PHDL is a open source hardware description language that models text-based schematics for Printed Circuit Boards. Java, Macintosh OS X, Linux, and Windows.

http://sourceforge.net/p/phdl/wiki/Home/

The SchematicEditor

Supports parametric Mask Layout making it suitable for several types of applications -- IC, MEMS, PCB, Microwave. Besides its own format for storing schematics and libraries these file formats are supported: OpenAccess, EDIF, Qucs, LTSpice, SVG, and JPG. Additionally, any text based net list format can be created. Just a simple setup is required to define a further format. Macintosh OS X, Linux, and Windows.

http://www.layouteditor.net/wiki/Schematic

WebFPGA

WebFPGA is a cloud-based development environment for FPGAs with compatible development boards. Our software toolchain uses a recent browser technology called WebUSB to program the FPGA, Macintosh OSX, Linux, and Windows.

https://www.kickstarter.com/projects/ryanmjacobs/webfpga-rapid-fpga-development-system

Schematic Capture

Hardware Description Languages/FPGAs

Icarus Verilog

Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format. For batch simulation, the compiler can generate an intermediate form called vvp assembly. This intermediate form is executed by the ``vvp'' command. For synthesis, the compiler generates netlists in the desired format. Macintosh OS X, UNIX, Solaris, Linux, and Windows.

http://iverilog.icarus.com/

GHDL

GHDL is an open-source simulator for the VHDL language. GHDL allows you to compile and execute your VHDL code directly in your PC. Combined with a GUI-based wave viewer and a good VHDL text editor, GHDL is a very powerful tool for writing, testing and simulating your VHDL code. Macintosh OS X, Linux, and Windows.

http://ghdl.free.fr/site/pmwiki.php?n=Main.Download

vMAGIC

vMAGIC is a Java-API which helps creating VHDL generators and analyzers. vMAGIC comprises three parts: 1st a VHDL'93 compliant parser, 2nd a programming model to easily create and modify VHDL constructs, and 3rd a VHDL Writer to generate code.

http://sourceforge.net/projects/vmagic/?source=directory

Text Editors with HDL support

See my text editors page. Some have VHDL or Verilog support.

Digi-Key Scheme-it

Web based schematic capture.

http://www.digikey.com/schemeit

Home > Robert_Rau.html
Links >Links.html

Schematic/Design Capture

upverter

Web based schematic capture and PCB layout.

https://upverter.com/

Papilio Loader on Mac OSX

An App Installer for the Papilio FPGA board. The Papilio boards include a Pro version with a Xilinx Spartan 6 LX9 and two Spartan 3 based boards with a XC3S250E or XC3S500E. Macintosh OSX only, other version for Windows.

http://www.gadgetfactory.net/2013/10/papilio-loader-on-mac-osx/

Download:

http://forum.gadgetfactory.net/index.php?/files/download/10-papilio-loader-gui/

Boards:

http://www.gadgetfactory.net/papilio/

GreenPAK

A full IDE for the design of mixed signal FPGAs from Renesas (was Dialog, Silego). There are three sizes, from 8 pins to 20 pins. Macintosh OS X, Linux, and Windows.

https://www.dialog-semiconductor.com/greenpak-designer-software

pBlazASM

pBlazASM is a command-line tool to assemble Picoblaze (-3/-6) code in Xilinx, pBlazIDE. Macintosh OS X, Linux, and Windows.

http://code.google.com/p/pblazasm/

Razen

A schematic and layout package. Uses Python for automation. Built-in source control through Mercurial. Macintosh OSX, Linux, and Windows.

http://razencad.com/

Sigasi

Verilog and VHDL language specific code editor. Free basic version and two commercial versions. Macintosh OS X, Linux, and Windows.

http://www.sigasi.com/products/

MyHDL

MyHDL turns Python into a hardware description and verification language, providing hardware engineers with the power of the Python ecosystem. Macintosh OS X, Linux, and Windows.

http://www.myhdl.org/

Verilog

VHDL

Cver

Verilog simulator. Macintosh OS X, UNIX, and Linux.

http://maceda.epicentertech.com/page12.html

VeriWell Verilog Simulator

VeriWell is a full Verilog simulator. It supports nearly all of the IEEE1364-1995 standard, as well as PLI 1.0. Yes, VeriWell *is* the same simulator that was sold by Wellspring Solutions in the mid-1990 and was included with the Thomas and Moorby book Macintosh OS X, UNIX, and Linux.

https://sourceforge.net/projects/veriwell/

Installation on various platforms:

https://rechnerorganisation.wordpress.com/2014/04/15/%EF%BB%BFinstalling-verilogveriwell-on-various-platforms/

Sigasi Studio

Sigasi Studio is an intelligent development environment (IDE). It differs from other development tools in that it contains an ultra-fast VHDL parser and compiler that runs transparently in the background. At any given moment as you make modifications, the tool fully understands the design in terms of VHDL concepts. Macintosh OS X, Linux, and Windows.

https://www.sigasi.com/products/

Plunify

Plunify offers web based FPGA design support. Currently Altera FPGAs and CPLDs are supported. Macintosh OS X, Linux, and Windows.

http://www.plunify.com/en/tools.php

SystemC

Both digital and analog simulation. Open Source. Must register to download, Free.

Macintosh OS X, Linux, and UNIX.

http://www.accellera.org/downloads/standards/systemc/

Verilator

Verilator is a Verilog HDL simulator. It compiles synthesizable Verilog (not test-bench code!), plus some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code. It is designed for large projects where fast simulation performance is of primary concern, and is especially well suited to generate executable models of CPUs for embedded software design teams. Can be built on OS X with Homebrew. Macintosh OS X, Linux, and Windows.

http://www.veripool.org/wiki/verilator

Main page:

http://www.veripool.org/

Video of presentation

https://www.youtube.com/watch?v=y2GWX3BRS-E

Plunify

Plunify offers web based FPGA design support. Currently Altera FPGAs and CPLDs are supported. Macintosh OS X, Linux, and Windows.

http://www.plunify.com/en/tools.php

SystemC

ElectricDesign

Schematic capture. Includes 3000 symbols. Supports many languages. Macintosh only.

Download from App Store.

PCB Creator

Both schematic capture and PCB layout. Can be used to design 2 to 4 layer PCBs with up to 1000 pins. Shape-based Autorouter. High-speed Differential Pair Routing. Macintosh OS X and Windows.

http://flink.bacircuits.com/cn/aaefm/pcbcreator

IceStorm

A full Verilog-to-Bitstream solution for most Lattice iCE40 FPGAs. Macintosh OS X, Linux, and Windows.

http://www.clifford.at/icestorm/

HACKADAY Article: https://hackaday.com/2018/10/03/icestorm-tools-roundup/

Examples: https://github.com/nesl/ice40_examples

More examples: https://github.com/mcmayer/iCE40

Tutorial: https://diychris.com/index.php/2019/07/21/fpga-development-workflow-on-a-mac/

...and: https://www.journeytoengineering.com/blog/how-to-setup-icestorm-toolchain-icestick-macos/

Support: https://stackoverflow.com/search?q=%5Byosys%5D+icestorm

Implement a RISC V processor on a iCE40:

https://pingu98.wordpress.com/2019/04/08/how-to-build-your-own-cpu-from-scratch-inside-an-fpga/

Development boards:

https://www.tindie.com/products/Folknology/blackice-mx/

http://www.latticesemi.com/en/Products/DevelopmentBoardsAndKits/iCE40HX8KBreakoutBoard

https://www.mouser.com/ProductDetail/Lattice/ICE40HX1K-STICK-EVN?qs=%2Fha2pyFaduiOEqlsaiRfBulNsZFFFJWzq2a0PhVAJbo%3D

https://www.olimex.com/Products/FPGA/iCE40/iCE40HX8K-EVB/open-source-hardware

https://www.olimex.com/Products/FPGA/iCE40/iCE40HX1K-EVB/open-source-hardware

https://www.tindie.com/products/tinyvision_ai/upduino-v21-low-cost-fpga-board/

https://www.crowdsupply.com/tinyfpga

https://github.com/FPGAwars/icezum

https://www.nandland.com/goboard/introduction.html

https://github.com/FPGAwars/Alhambra-II-FPGA

Programming adapters for boards without an embedded USB to PMG

Olimex Software: https://github.com/OLIMEX/iCE40HX1K-EVB/tree/master/programmer/olimexino-32u4%20firmware

Olimex Hardware: https://www.olimex.com/Products/FPGA/iCE40/iCE40HX8K-EVB/open-source-hardware

Adafruit FT232H Hardware: https://www.adafruit.com/product/2264

FT232H Software: https://github.com/cliffordwolf/icestorm/tree/master/iceprog

LibrePCB

Free. LibrePCB is an open source (GPLv3) software for the creation of electronic schematic diagrams and printed circuit board artwork. Macintosh OSX, Unix, Linux, and Windows.

https://librepcb.org/

Source:

https://github.com/LibrePCB/LibrePCB

Plunify

Plunify offers web based FPGA design support. Currently Altera FPGAs and CPLDs are supported. Macintosh OSX, Linux, and Windows.

http://www.plunify.com/en/tools.php

IceStudio

A IDE for IceStorm. Built in support for many of the ICE40 demo/evaluation boards on the market. Macintosh OS X, Linux, and Windows.

https://github.com/FPGAwars/icestudio

Documentation:

https://icestudio.readthedocs.io/en/latest/